VelilogHDL

DE0でジュリア集合

FPGA、Verilogの学習。 苦戦していたDE0でジュリア集合を描画する件を一旦完了。この動画が目標。 で、こんな感じにはできた。 ・ジュリア集合は浮動小数点数がなくてもなんとかなる。 固定小数でもなく整数値のみでやれた。 ・ジュリア集合の複数回の計算処…

QuartusIIにて論理合成が完了しない。 80%で50分位待っても止まったまま。結局、以下の様な記載があった為。 while ((c < 32768) && (count > 0)) beginこれをこうしたらサクッと終わった。 while (count > 0) begin if (c >= 32768) begin disable FOR_BREA…

Spartan-6 LX9 MicroBoard で未経験者シンプルHDLお試し

お安いFPGAボード Avnet Spartan-6 LX9 MicroBoard を遊びで購入したが、AvnetのチュートリアルはFPGA未経験の私にとって良く判らん。可能な限りシンプルなやり方でFPGAを動かしてみたい。 で、そのやり方。 ※ツッコンでください。 ※USBのドライバとかは入っ…