2016-01-01から1年間の記事一覧

DE0でジュリア集合

FPGA、Verilogの学習。 苦戦していたDE0でジュリア集合を描画する件を一旦完了。この動画が目標。 で、こんな感じにはできた。 ・ジュリア集合は浮動小数点数がなくてもなんとかなる。 固定小数でもなく整数値のみでやれた。 ・ジュリア集合の複数回の計算処…